1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/random_ips.v

11 lines
174 B
V
Raw Normal View History

2019-11-20 17:58:24 +03:00
import rand
import time
fn main() {
2019-12-31 19:11:47 +03:00
rand.seed(time.now().unix)
2019-11-20 17:58:24 +03:00
for _ in 0..10 {
println('${rand.next(255)}.${rand.next(255)}.${rand.next(255)}.${rand.next(255)}')
}
}