1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/vlib/builtin/wasm/builtin.v

6 lines
151 B
V
Raw Normal View History

module builtin
fn __memory_grow(size isize) isize
fn __memory_fill(dest &u8, value isize, size isize)
fn __memory_copy(dest &u8, src &u8, size isize)