1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/database/mysql.v

16 lines
252 B
V
Raw Normal View History

// import mysql
2019-08-18 17:24:43 +03:00
2020-04-17 22:41:54 +03:00
// fn main() {
2019-08-18 17:24:43 +03:00
// conn := mysql.connect('localhost', 'root', '', 'mysql')
// res := conn.query('show tables')
// for row in res.rows() {
// println(row.vals.join(', '))
// }
// res.free()
// conn.close()
// }
2019-11-18 02:34:46 +03:00
fn main() {
}