1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/native/hello_world.v

21 lines
296 B
V
Raw Normal View History

// fn println(s string) { }
2020-01-02 00:34:46 +03:00
// fn test_fn() {
// println('test fn')
2020-01-02 00:34:46 +03:00
//}
2019-11-19 09:53:52 +03:00
fn main() {
println('native test')
// i := 0
// for i < 5 {
for _ in 1 .. 5 {
println('Hello world from V native machine code generator!')
// i++
2019-11-19 09:53:52 +03:00
}
/*
2019-11-19 09:53:52 +03:00
println('Hello again!')
2019-11-29 12:33:04 +03:00
//test_fn()
println('done')
2020-01-02 00:34:46 +03:00
*/
2019-11-19 09:53:52 +03:00
}