1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/random_ips.v

8 lines
135 B
V
Raw Normal View History

2019-11-20 17:58:24 +03:00
import rand
fn main() {
2021-02-23 20:43:44 +03:00
for _ in 0 .. 10 {
2020-06-09 16:06:07 +03:00
println('${rand.intn(255)}.${rand.intn(255)}.${rand.intn(255)}.${rand.intn(255)}')
2019-11-20 17:58:24 +03:00
}
}