From 4fac09bcb4f68c9037e69fb270279de18376ec32 Mon Sep 17 00:00:00 2001 From: Alexander Medvednikov Date: Fri, 20 Mar 2020 16:51:36 +0100 Subject: [PATCH] array: simplify reverse() memcpy call --- vlib/builtin/array.v | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/vlib/builtin/array.v b/vlib/builtin/array.v index 9f72e91db4..41d5e5accf 100644 --- a/vlib/builtin/array.v +++ b/vlib/builtin/array.v @@ -338,7 +338,8 @@ pub fn (a array) reverse() array { data: vcalloc(a.cap * a.element_size) } for i in 0..a.len { - C.memcpy(arr.data + i * arr.element_size, &a[a.len - 1 - i], arr.element_size) + //C.memcpy(arr.data + i * arr.element_size, &a[a.len - 1 - i], arr.element_size) + C.memcpy(arr.data + i * arr.element_size, a.data + (a.len - 1 - i) * arr.element_size, arr.element_size) } return arr }