1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/vlib/math/abs.c.v
2021-08-23 00:35:28 +03:00

9 lines
88 B
V

module math
fn C.fabs(x f64) f64
[inline]
pub fn abs(a f64) f64 {
return C.fabs(a)
}