1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/cmd/v
2020-11-20 10:25:59 +02:00
..
help v: run code from stdin echo println(2+2) | v run -, with no repl limits (#6884) 2020-11-20 10:25:59 +02:00
v.v cmd: rename test-vet to test-cleancode 2020-11-15 16:40:35 +02:00