1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/x64/hello_world.v
2019-11-29 12:33:36 +03:00

15 lines
209 B
V

fn test_fn() {
println('test fn')
}
fn main() {
println('x64 test')
for _ in 0..5 {
println('Hello world from V x64 machine code generator!')
}
println('Hello again!')
//test_fn()
println('done')
}