1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/random_ips.v
2022-05-13 06:56:21 +03:00

8 lines
139 B
V

import rand
fn main() {
for _ in 0 .. 10 {
println('${rand.intn(255)?}.${rand.intn(255)?}.${rand.intn(255)?}.${rand.intn(255)?}')
}
}