1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/random_ips.v
2019-12-31 17:11:47 +01:00

11 lines
174 B
V

import rand
import time
fn main() {
rand.seed(time.now().unix)
for _ in 0..10 {
println('${rand.next(255)}.${rand.next(255)}.${rand.next(255)}.${rand.next(255)}')
}
}