1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/vlib/math/min_max_abs.v
Alexander Medvednikov 6756d28595 all: 2023 copyright
2023-03-28 22:55:57 +02:00

23 lines
525 B
V

// Copyright (c) 2019-2023 Alexander Medvednikov. All rights reserved.
// Use of this source code is governed by an MIT license
// that can be found in the LICENSE file.
module math
// min returns the minimum of `a` and `b`
[inline]
pub fn min[T](a T, b T) T {
return if a < b { a } else { b }
}
// max returns the maximum of `a` and `b`
[inline]
pub fn max[T](a T, b T) T {
return if a > b { a } else { b }
}
// abs returns the absolute value of `a`
[inline]
pub fn abs[T](a T) T {
return if a < 0 { -a } else { a }
}