1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
v/examples/random_ips.v
2020-06-09 15:06:07 +02:00

9 lines
145 B
V

import rand
import time
fn main() {
for _ in 0..10 {
println('${rand.intn(255)}.${rand.intn(255)}.${rand.intn(255)}.${rand.intn(255)}')
}
}