1
0
mirror of https://github.com/vlang/v.git synced 2023-08-10 21:13:21 +03:00
Commit Graph

14930 Commits

Author SHA1 Message Date
Samuel Šulovský
7497d8457f
docs: fix incorrect struct literal and interface smart cast errors (#17457) 2023-03-02 13:31:12 +02:00
Delyan Angelov
e2daa84a33
ci: add windows and macos jobs for checking the wasm backend too (#17451) 2023-03-01 21:53:03 +02:00
l-m
cdc0159c6e
wasm: update the compile flags in binaryen.c.v to assist darwin (#17449) 2023-03-01 14:21:08 +02:00
Felipe Pena
bba7cfee0b
checker: check or-block used on non-option value (#17444) 2023-03-01 02:08:18 +02:00
walking devel
3682a9cf88
orm: allow use of mut db orm.Connection (#17439) 2023-03-01 00:49:30 +02:00
Felipe Pena
5f4b34ef12
eval: add host API, for passing and receiving values, to/from code, ran by the eval.Eval instances (#17426) 2023-03-01 00:42:19 +02:00
Haren S
acfd21e4e0
os: add #include <sys/types.h> to debugger_darwin.c.v, to fix bootstrapping on macOS <= 11 (#17446) 2023-03-01 00:06:12 +02:00
l-m
0625caad56
wasm: add a webassembly compiler backend, based on using binaryen (#17368) 2023-02-28 23:58:53 +02:00
yuyi
b9a8a21094
cgen: fix nested option struct init (fix #17415) (#17425) 2023-02-28 14:02:17 +02:00
Alexander Medvednikov
ebeb652348 readme: 4 ways to do memory management 2023-02-28 09:58:52 +01:00
Alexander Medvednikov
d5ad96f876 readme: minor fixes 2023-02-28 09:55:57 +01:00
walking devel
b7b6c2368e
orm: allow using connections, that were explicitly casted to orm.Connection too (#17427) 2023-02-27 23:54:03 +02:00
Petr Makhnev
864e1994b0
vlib/time: format table for custom_format() (#17428)
* vlib/time: format table for `custom_format()`

* small fix

* small fix
2023-02-27 21:48:05 +02:00
Delyan Angelov
607649b44d
builder: add small diagnostic and instructions for when the C cross compiler for linux->windows is not installed. 2023-02-27 16:59:56 +02:00
Delyan Angelov
91e0f7a3af
tools/fast: add 2023.html link to the header, used by fast.v 2023-02-27 11:40:22 +02:00
paul-elesin
15cb18cbd2
os: make hostname and loginname functions return Result (#17414) 2023-02-27 05:21:23 +03:00
Delyan Angelov
9c511e03f6
tools: remove import v.pref, just for pref.vexe_path(), to compile tools faster (#17411) 2023-02-26 07:56:14 +02:00
yuyi
45d4849b0f
checker: fix returning match expr with custom error (#17413) 2023-02-26 07:54:53 +02:00
Delyan Angelov
00aecf92e7
tools: make v self compile with tcc on Apple M1, since it is faster, and tcc now can handle it (#17409) 2023-02-26 01:26:33 +02:00
Delyan Angelov
4c13a4c22c
ci: make websocket_test.v more robust (wait till the websocket server are open for connections, instead of relying on fixed time.sleep delays, which are not enough on the CI) 2023-02-25 19:39:14 +02:00
Delyan Angelov
524c500146
cgen: add requires to c_reserved, so that V generated code, can be compiled with -cc g++-11 -no-std -cflags -std=c++20 as well (#17404) 2023-02-25 16:50:48 +02:00
Delyan Angelov
cf47a5f978
ci: fix websocket_test.v after a7ae3bf 2023-02-25 14:46:31 +02:00
Felipe Pena
12ec0e9fe1
cgen: support option pointer values - ?&Type (#17397) 2023-02-25 14:44:41 +02:00
Petr Makhnev
51bb8cda15
test: fix teamcity runner message escapes (#17405) 2023-02-25 14:39:09 +02:00
Delyan Angelov
a7ae3bfa78
ci: fix all ports for the servers started in websocket_test.v 2023-02-25 14:36:54 +02:00
Delyan Angelov
e7bf33b206
ci: replace node-version: 12.x with node-version: 16 too 2023-02-25 14:29:00 +02:00
Delyan Angelov
2327f0781b
ci: replace actions/setup-node@v1 with actions/setup-node@v3 2023-02-25 14:25:58 +02:00
Delyan Angelov
85b81ea9bb thirdparty: fix compilation of thirdparty/stb_image/stb_image.h with latest tcc on macos 2023-02-25 13:03:46 +02:00
Felipe Pena
9a8f3025f5
cgen: implement option fixed array (#17400) 2023-02-24 19:25:31 +02:00
yuyi
2836544978
cgen: minor cleanup of leftover comments in expr() (#17390) 2023-02-24 11:03:07 +02:00
Felipe Pena
6b20bddd15
cgen, json2: fix auto str option type generator, and json2 option type handling (#17388) 2023-02-24 10:54:45 +02:00
Alexander Medvednikov
94ce753df3
Update LICENSE 2023-02-24 04:37:43 +03:00
yuyi
acd903484d
parser: fix channel pop with or expression: ch := <-self.item or { return none } (#17392) 2023-02-23 16:45:15 +02:00
Felipe Pena
248e9538ca
parser: check for anonymous function param redefinitions (#17382) 2023-02-23 16:34:42 +02:00
Artem Yurchenko
d3870a0c7e
README: update gg/sokol Ubuntu dependencies (add libgl-dev) (#17385) 2023-02-22 22:01:43 +02:00
Felipe Pena
2879afadd4
cgen, checker: fix none checking (#17364) 2023-02-22 16:13:02 +02:00
Swastik Baranwal
c173104295
cgen: add & before ptr arr elements (#17379) 2023-02-22 14:10:07 +02:00
Felipe Pena
6682911bc3
cgen: fix print fn + cycle const error message (#17355) 2023-02-22 13:38:08 +02:00
Delyan Angelov
b1ed1d3b32
ci: upgrade to actions/checkout@v3 to avoid deprecation warnings in the CI about that action using Node.js 12 2023-02-21 13:40:24 +02:00
Delyan Angelov
4a81272914
ci: upgrade sdl_ci.yml and native_backend_tests_ci.yml, to ubuntu-20.04, to avoid ci failures, due to the brownout period, for the deprecated ubuntu-18.04 2023-02-21 13:35:35 +02:00
Delyan Angelov
612ac69486
ci: fix bootstrapping on macos/freebsd etc 2023-02-21 12:30:35 +02:00
Felipe Pena
82c4338b76
os: move pub fn debugger_present() bool{ to platform-specific files (better ptrace portability handling) (#17373) 2023-02-21 10:55:03 +02:00
R cqls
cd00beb099
gg: add toggle_fullscreen and is_fullscreen (#17371) 2023-02-20 21:58:04 +02:00
Sanath Kumar U
269462425a
tools: prevent v init from overwriting an already existing src/main.v file (fix #17362) (#17363) 2023-02-20 12:54:38 +02:00
Felipe Pena
cb976c7e17
os: fix the declaration of C.ptrace (the 4th parameter is a pointer, not an integer) (#17366) 2023-02-20 12:29:49 +02:00
yuyi
fcef8c98ee
cgen: fix map of array initialisation, with len and no default (fix #17358) (#17367) 2023-02-20 12:26:48 +02:00
Swastik Baranwal
e9a3817aed
checker: disallow enum initalization (#17361) 2023-02-19 22:22:07 +01:00
JalonSolov
79b2c34f97
arrays: replace C.memcpy with vmemcpy calls, to make v -shared -Wimpure-v vlib/arrays/ pass (#17357) 2023-02-19 19:01:18 +02:00
Felipe Pena
8a1e90fff1
checker: allow none to be casted to all option types, including aliases (#17348) 2023-02-19 18:56:14 +02:00
Felipe Pena
ce1978ecde
parser: disallow defining methods on option receivers - fn (x ?Type) method() { (#17351) 2023-02-19 15:00:29 +02:00